AMD RDNA 3, i successi dei Ryzen influenzano le nuove GPU

Cosa sono e quali sono le principali caratteristiche delle nuove GPU RDNA 3 di AMD. L'azienda di Sunnyvale scommette sull'architettura a chiplet anche per le schede video.
AMD RDNA 3, i successi dei Ryzen influenzano le nuove GPU

Che l’utilizzo dell’architettura basata su chiplet abbia contribuito al rinnovato successo di AMD nel segmento dei processori è ormai cosa nota. Con RDNA 3 l’esperienza maturata con i Ryzen sbarca sulle GPU di nuova generazione. D’altra parte, i responsabili della società guidata da Lisa Su dicevano da tempo che usare lo schema a chiplet per le GPU era un’idea fantastica.

A partire da novembre 2022, AMD ha iniziato a svelare i primi modelli di GPU basate sull’architettura RDNA 3, progettate per competere con l’offerta NVidia Ada Lovelace e le RTX serie 40. L’azienda di Sunnyvale si è dapprima concentrata sulle GPU Radeon RX 7900 XTX/XT (Navi 31) per poi rilasciare, nei mesi seguenti, ulteriori dettagli. Al momento in cui scriviamo sono state lanciate soltanto le GPU RDNA 3 RX 7900 XTX/XT e RX 7600 ovvero le “top di gamma” e quella più economica. I modelli di classe intermedia (dovrebbero chiamarsi RX 7800 e RX 7700) sarebbero in procinto di essere lanciati sul mercato, entro luglio 2023.

Le principali caratteristiche delle GPU AMD RDNA 3

RX 7900 XTX RX 7900 XT RX 7800 (?) RX 7700 (?) RX 7600
Architettura Navi 31 Navi 31 Navi 32? Navi 32? Navi 33
Processo costruttivo TSMC N5 + N6 TSMC N5 + N6 TSMC N5 + N6 TSMC N5 + N6 TSMC N6
Compute Unit 96 84 60 (?) 48 (?) 32
GPU Core (Shaders) 6144 5376 3840 (?) 3072 (?) 2048
AI Core 192 168 120 (?) 96 (?) 64
Ray Accelerator 96 84 60 (?) 48 (?) 32
Boost Clock (MHz) 2500 2400 2400 (?) 2400 (?) 2625
Velocità VRAM (Gbps) 20 20 20 (?) 20 (?) 18
VRAM (GB) 24 20 16 (?) 12 (?) 8
VRAM Bus (bit) 384 320 256 (?) 192 (?) 128
TFLOPS FP32 (Boost) 61,4 51,6 36,9 (?) 29,5 (?) 21,5
TFLOPS FP16 122,8 103,2 73,8 (?) 59 (?) 43
Banda (GB/s) 960 800 640 (?) 480 (?) 288
TBP (W) 355 315 275 (?) 220 (?) 165
Data di lancio dicembre 2022 dicembre 2022 luglio 2023 (?) luglio 2023 (?) maggio 2023
Prezzo di lancio 999$ 899$ 550$ (?) 399$ (?) 269 $

L’indicazione (?) in tabella mette in evidenza il fatto che si tratta di un’informazione non confermata da AMD. Si tratta di dati desunti da stime pubblicate sul Web.

L’attuale GPU più potente integra fino a 96 unità di calcolo (CU) Navi 31 ma è soprattutto il throughput per ciascun core a risultare raddoppiato rispetto alla precedente architettura RDNA 2. I 6144 core della RX 7900 XTX forniscono 61,4 TeraFLOP teorici in FP32; una RX 6950 XT aveva 5120 core ma assicurava “solo” fino a 23,7 TeraFLOP di potenza computazionale.

RDNA 3: design delle nuove GPU AMD

La relazione tra RDNA 3 e Navi 31: la struttura a chiplet

RDNA 3 è l’architettura di base, mentre Navi 31 rappresenta una delle implementazioni specifiche basate su RDNA 3. AMD utilizza nomi in codice per identificare i diversi chip e le varianti all’interno di un’architettura. Navi 31 è uno dei chip grafici di punta basati sull’architettura RDNA 3: è progettato per offrire prestazioni grafiche di fascia alta.

Dicevamo in precedenza che RDNA 3 affonda le sue radici sull’esperienza maturata con i processori Ryzen. Ed è vero perché l’utilizzo di Graphics Compute Die (GCD) accanto ai Memory Cache Dies (MCD) ricorda quanto già fatto con le CPU Zen. Così AMD ha  deciso di adattare quanto fatto con i Ryzen al mondo della grafica. Con una serie di importanti differenze.

Chiplet e RDNA 3

Le GPU devono disporre di un’ampia larghezza di banda per sostenere le elaborazioni di tutti i core, chiamati a lavorare con un elevato grado di parallelismo. Per questo motivo, gli ingegneri di AMD hanno scelto di posizionare, sulle nuove GPU RDNA 3, controller di memoria e cache su die più piccoli mentre concentrare le funzionalità di calcolo nel chiplet GCD centrale.

Il GCD ospita tutte le unità di calcolo (CU) insieme ad altre funzionalità di base come il supporto dei codec video in hardware, la connessione PCIe e le interfacce di visualizzazione; gli MCD contengono principalmente i grandi blocchi di cache L3 (Infinity Cache) ai quali si aggiunge l’interfaccia di memoria fisica GDDR6. Le GPU RDNA 3 integrano il nuovo Dual Media Engine con supporto per la codifica/decodifica AV1, la decodifica video potenziata dall’IA e il nuovo Radiance Display Engine.

Mentre Navi 31 (e quasi sicuramente anche Navi 32) utilizzano la struttura a chiplet, il die Navi 33 utilizzato nella GPU RX 7600 e in altre soluzioni per i dispositivi mobili, utilizza un’architettura monolitica.

Architettura chiplet AMD RDNA 3

Come cambiano le unità di calcolo nelle nuove GPU

Mike Mantor, Corporate Fellow & Chief GPU Architect AMD, ha spiegato che RDNA 3 utilizza coppie di unità di calcolo potenziate rispetto al passato. Inoltre, l’utilizzo di un nuovo bloccoFloat/Matrix SIMD32“. Come suggerisce il nome, si tratta di una tecnologia di elaborazione parallela utilizzata per eseguire operazioni su numeri in virgola mobile (float) e matrici. SIMD (Single Instruction, Multiple Data) è un’architettura che consente di eseguire la stessa istruzione su più elementi di dati simultaneamente, migliorando così l’efficienza e le prestazioni del calcolo.

In particolare, SIMD32 si riferisce alla dimensione del vettore di dati che può essere elaborato contemporaneamente. Questo indica che possono essere eseguite 32 operazioni su numeri in virgola mobile o matrici in un singolo ciclo di clock, sfruttando la capacità di parallelismo della GPU.

L’utilizzo di SIMD32 può portare a un notevole incremento delle prestazioni in applicazioni che coinvolgono calcoli intensivi su numeri in virgola mobile o matrici. Ecco perché le GPU RDNA 3 di ultima generazione possono introdurre benefici tangibili nel rendering grafico, nelle elaborazioni di intelligenza artificiale e in tutte le altre applicazioni che richiedono elaborazioni numeriche complesse.

Grazie all’introduzione del blocco “Float/Matrix SIMD32“, AMD ha di fatto potuto raddoppiare il throughput nei calcoli in virgola mobile.

Cache

Le cache e le interfacce tra le cache e il resto del sistema sono state oggetto di interventi con RDNA 3. Così si scopre che la cache L0 passa a 32 KB, raddoppiando le dimensioni di RDNA 2. La cache L1 diventa di 256 KB, raddoppiando ancora la specifica di RDNA 2; la cache L2 è stata portata a 6 MB (è 1,5 volte più grande rispetto a RDNA 2). La cache L3 (Infinity Cache) è stata ridotta rispetto a Navi 21 passando a 96 MB dai 128 MB precedenti.

Il collegamento tra cache L3 ed L2, tuttavia, è 2,25 volte più ampio con un throughput totale che risulta così decisamente più elevato. L’interconnessione tra le principali unità di elaborazione e la cache L1 è ora 1,5 volte più ampia; esattamente come per il collegamento tra L1 e L2.

Le nuovi GPU RDNA 3 possono inoltre usare fino a sei interfacce GDDR6 a 64 bit per un collegamento combinato a 384 bit. La VRAM ha una velocità di clock pari a 20 Gbps per una larghezza di banda totale di 960 GB/s. Il gap prestazionale tra GDDR6 e GDDR6X si abbatte così in maniera davvero significativa: i 960 GB/s di una RX 7900 XTX sono di fatto comparabili con i 1.008 GB/s della RTX 4090.

Velocità di clock

I tecnici di AMD hanno dichiarato che RDNA 3 è stata progettata per consentire alle GPU di raggiungere velocità di clock pari a 3 GHz. Le frequenze operative di boost pubblicate nelle specifiche ufficiali delle Radeon 7900 XTX/XT si mantengono ben al di sotto di tale valore. Perché questa discrepanza? È facile ipotizzare che le GPU di riferimento AMD si concentrino soprattutto sulla massimizzazione dell’efficienza energetica.

Le schede grafiche sviluppate e commercializzate da produttori terzi possono certamente aumentare le frequenze di clock, le tensioni gioco e i valori di potenza. Tuttavia, sempre secondo AMD, le GPU RDNA 3 possono lavorare alla stessa frequenza delle precedenti RDNA 2 utilizzando metà della potenza, oppure possono raggiungere una frequenza 1,3 volte superiore utilizzando la stessa potenza. Obiettivo di AMD è insomma quello di bilanciare frequenza e potenza per offrire la migliore esperienza complessiva.

Le immagini pubblicate nell’articolo sono tratte dalle slide condivise da AMD durante la presentazione delle nuove GPU RDNA 3.

Ti consigliamo anche

Link copiato negli appunti